ASML Archives - TechGoing https://www.techgoing.com/tag/asml/ Technology News and Reviews Mon, 06 Nov 2023 13:40:05 +0000 en-US hourly 1 https://wordpress.org/?v=6.4.4 Dutch lithography giant ASML: very optimistic about business in China next year https://www.techgoing.com/dutch-lithography-giant-asml-very-optimistic-about-business-in-china-next-year/ Mon, 06 Nov 2023 13:40:04 +0000 https://www.techgoing.com/?p=150178 According to China Daily, Shen Bo, the global senior vice president and president of China of ASML, the Dutch lithography machine giant, said in an exclusive interview during the CIIE that this year ASML China’s The business is growing rapidly. It is expected that China will account for more than 20% of ASML’s global revenue […]

The post Dutch lithography giant ASML: very optimistic about business in China next year appeared first on TechGoing.

]]>
According to China Daily, Shen Bo, the global senior vice president and president of China of ASML, the Dutch lithography machine giant, said in an exclusive interview during the CIIE that this year ASML China’s The business is growing rapidly. It is expected that China will account for more than 20% of ASML’s global revenue throughout the year. We are also very optimistic about our business in China next year.

▲ Picture source ASML official website

Shen Bo said, “We will recruit more than 200 people in China in 2023. In 2024, we expect that business development will continue to bring a lot of demand, and the expansion of our team should still be relatively large. Of course, we We still need to make annual plans, and we are still looking at the specific numbers, but the growth of the entire team is certain.”

It is reported that China accounted for 46% of ASML’s global business revenue in the third quarter of this year. The full-year performance is expected to exceed 20%, which is a relatively large increase compared with previous years.

Shen Bo also said that from the first machine shipped to China in 1988, by the end of 2023, ASML’s installed capacity of photolithography machines plus measurement machines in China will be close to 1,400. Recently, the United States announced the latest chip export control measures. From a volume perspective, based on ASML China’s business volume this year, it will have an impact of about 10~15% on ASML’s business next year.

The global semiconductor industry is currently facing a series of challenges, and some practitioners even say that “globalization is dead.” In this regard, Shen Bo believes that global cooperation has always been the most effective way to promote technological progress in the entire semiconductor industry, or it can be said to be the only way. Only globalization can help the entire industry move forward most efficiently. Currently, nearly 85% of the components of ASML’s products are not produced in-house, but require collaboration with the world’s best suppliers.

ASML announced its third quarter financial results last month, with net sales of 6.7 billion euros and net profit of 1.9 billion euros. There was a slight decrease month-on-month, with gross profit margin of 51.9%.

THIS IS A SPONSOR PROMOTION: >>>>>>>>>>>>>

Geekwills is an online shop that connects consumers with millions of products and brands around the world with the mission to empower them to live their best lives. Geekwills is committed to offering the most affordable quality products to enable consumers and sellers to fulfill their dreams in an inclusive environment.

Geekwills

The post Dutch lithography giant ASML: very optimistic about business in China next year appeared first on TechGoing.

]]>
Canon bets on nanoimprint technology price less than ASML EUV lithographs https://www.techgoing.com/canon-bets-on-nanoimprint-technology-price-less-than-asml-euv-lithographs/ Mon, 06 Nov 2023 00:53:33 +0000 https://www.techgoing.com/?p=149906 Japan’s Canon has been investing in nano-imprint lithography (NIL), a new chip-making technology, and plans to make inroads in the lithography field by setting the price of its new chip-making equipment at a fraction of the price of ASML’s best lithography machines. Nanoimprint technology is a low-cost alternative to extreme ultraviolet lithography (EUV) technology. Fujio […]

The post Canon bets on nanoimprint technology price less than ASML EUV lithographs appeared first on TechGoing.

]]>
Japan’s Canon has been investing in nano-imprint lithography (NIL), a new chip-making technology, and plans to make inroads in the lithography field by setting the price of its new chip-making equipment at a fraction of the price of ASML’s best lithography machines.

Nanoimprint technology is a low-cost alternative to extreme ultraviolet lithography (EUV) technology. Fujio Mitarai, Canon’s chief executive, said the company’s latest nanoimprint technology will open the way for small chipmakers to produce advanced chips.

“This product will cost single digits less than Asmac’s EUV,” said Fujio Mitarai, 88. This is his third term as Canon’s president, having last stepped away from day-to-day operations in 2016. Fujio Midori added that final pricing has not yet been finalized.

Feldhoven, Netherlands-based ASML is the sole supplier of extreme ultraviolet lithography. EUV lithography is the world’s most advanced chip-making machine, worth hundreds of millions of dollars each. The product of decades of extensive research and investment, such equipment is critical to mass-producing advanced chips that are fast and consume little energy.

But only a handful of cash-rich companies can afford to buy extreme ultraviolet lithography. That gives hope to Canon’s latest offering of nanoimprint chip-making equipment.

Canon shares are up 27% since the start of the year, and its rival Nikon Corp. is up 24%.

Canon has been working on nanoimprinting technology for nearly a decade with Japanese printing conglomerate Dai Nippon Printing Co. and memory chip maker Kioxia Holdings Corp. Unlike extreme ultraviolet lithography, which works by reflecting light, the nanoimprinting technology studied by Canon prints circuit patterns directly onto wafers, resulting in chips with geometries that are said to be comparable to those of state-of-the-art nodes, but at much slower speeds.

The new equipment is expected to allow chipmakers to reduce their dependence on chip foundries, as well as make it more likely that chip foundries such as TSMC and Samsung Electronics will be able to mass-produce chips. Canon said the machine requires only one-tenth the power of its EUV counterpart.

“I don’t think nanoimprinting technology will replace EUV, but I do believe it will create new opportunities and demand,” said Fujio Mikado. “We have already received many inquiries from customers.”

Canon, which had previously focused on making regular chips, began investing heavily in nanoimprinting technology in 2014 with the acquisition of Molecular Imprints Inc. which specializes in nanoimprinting technology. As one of TSMC’s suppliers, Canon is building its first new photolithography facility in 20 years in Utsunomiya City, north of Tokyo, which is due to start production in 2025.

Fujio Mitarai has been Canon’s president for nearly 18 years, and has served as Canon’s chief executive officer since 2006. Born in 1935, he is widely credited with rescuing Canon from operational difficulties when he first took the helm in 1995.

Canon recently appointed a group of new directors to join the board next year, including Canon U.S. CEO Kazuto Ogawa, 65; industrial group president Hiroaki Takei, 59; and senior executive Minoru Asada, 61, marking the rise of a new group of executives.

“It is my responsibility to find a successor,” said Fujio Mikado. He declined to comment on detailed information about the timing of his stepping down, potential candidates and the company’s level of aggressiveness in finding a new chief executive.

“I want this to be a complete surprise,” he said. “Our employees will learn about it first, and then others will hear about it through the press conference.”

THIS IS A SPONSOR PROMOTION: >>>>>>>>>>>>>

Geekwills is an online shop that connects consumers with millions of products and brands around the world with the mission to empower them to live their best lives. Geekwills is committed to offering the most affordable quality products to enable consumers and sellers to fulfill their dreams in an inclusive environment.

Geekwills

The post Canon bets on nanoimprint technology price less than ASML EUV lithographs appeared first on TechGoing.

]]>
ASML’s net profit in Q3 was 1.9 billion euros, sales are expected to grow by 30% https://www.techgoing.com/asmls-net-profit-in-q3-was-1-9-billion-euros-sales-are-expected-to-grow-by-30/ Wed, 18 Oct 2023 13:55:53 +0000 https://www.techgoing.com/?p=143873 Lithography machine giant ASML announced its third quarter financial results today, with net sales of 6.7 billion euros and net profit of 1.9 billion euros. A slight decrease from the previous quarter, with a gross profit margin of 51.9%. ▲ The official picture is wrong, the last column is the third quarter of 2023 ASML’s […]

The post ASML’s net profit in Q3 was 1.9 billion euros, sales are expected to grow by 30% appeared first on TechGoing.

]]>
Lithography machine giant ASML announced its third quarter financial results today, with net sales of 6.7 billion euros and net profit of 1.9 billion euros. A slight decrease from the previous quarter, with a gross profit margin of 51.9%.


▲ The official picture is wrong, the last column is the third quarter of 2023

ASML’s quarterly net bookings in the third quarter were 2.6 billion euros, of which EUV bookings were 0.5 billion euros.

ASML expects net sales in the fourth quarter of 2023 to be between 6.7 billion and 7.1 billion euros, with gross profit margins between 50% and 51%. ASML reaffirmed its forecast for 30% net sales growth in 2023, with no forecast changes.

THIS IS A SPONSOR PROMOTION: >>>>>>>>>>>>>

Geekwills is an online shop that connects consumers with millions of products and brands around the world with the mission to empower them to live their best lives. Geekwills is committed to offering the most affordable quality products to enable consumers and sellers to fulfill their dreams in an inclusive environment.

Geekwills

The post ASML’s net profit in Q3 was 1.9 billion euros, sales are expected to grow by 30% appeared first on TechGoing.

]]>
Ming-Chi Kuo says Apple’s 3nm demand next year will be lower than expected https://www.techgoing.com/ming-chi-kuo-says-apples-3nm-demand-next-year-will-be-lower-than-expected/ Thu, 28 Sep 2023 02:49:28 +0000 https://www.techgoing.com/?p=136144 Ming-Chi Kuo said in the latest market research brief, ASML may lower EUV equipment shipments in 2024, the estimated decline between 20-30%. The current industry consensus is that the semiconductor industry bottomed out in the second half of 2023, but does not rule out the possibility of delaying to the first half of 2024 or […]

The post Ming-Chi Kuo says Apple’s 3nm demand next year will be lower than expected appeared first on TechGoing.

]]>
Ming-Chi Kuo said in the latest market research brief, ASML may lower EUV equipment shipments in 2024, the estimated decline between 20-30%. The current industry consensus is that the semiconductor industry bottomed out in the second half of 2023, but does not rule out the possibility of delaying to the first half of 2024 or Q2.

According to Ming-Chi Kuo, there are several reasons why ASML has drastically lowered its EUV equipment shipments:

Apple's 3nm demand next year is lower than expected. Apple's MacBook shipments in 2023 will be 17 million units, down 30% year-on-year; iPad shipments in 2023 are estimated to be 48 million units, down 22% year-on-year. Note: The significant decline is due to the end of the WFH demand and the new specifications (Apple Silicon and Mini-LED) for the user's attraction is gradually declining. Looking ahead to 2024, Apple's 3nm demand is unfavorable due to the lack of growth drivers for MacBook and iPad.

Qualcomm's 2024 3nm demand is lower than expected as Huawei will stop purchasing Qualcomm chips and Exynos 2400 penetration in Samsung phones is higher than expected.

Lower than expected demand for Samsung's 3GAP+ and Intel's 20A.

Samsung, Micron and SK Hynix will not have memory expansion plans until 2025-2027 at the earliest.

The post Ming-Chi Kuo says Apple’s 3nm demand next year will be lower than expected appeared first on TechGoing.

]]>
TSMC notifies ASML, other suppliers of delayed delivery of high-end chip-making equipment https://www.techgoing.com/tsmc-notifies-asml-other-suppliers-of-delayed-delivery-of-high-end-chip-making-equipment/ Sat, 16 Sep 2023 05:21:35 +0000 https://www.techgoing.com/?p=132355 According to Reuters, TSMC has notified major suppliers including Dutch lithography machine manufacturer ASML to delay the postponement of high-end chips as it becomes increasingly uneasy about customer demand. Manufacturing equipment delivery. Reuters stated that TSMC’s move was on the one hand to better control costs, and on the other hand to become increasingly cautious […]

The post TSMC notifies ASML, other suppliers of delayed delivery of high-end chip-making equipment appeared first on TechGoing.

]]>
According to Reuters, TSMC has notified major suppliers including Dutch lithography machine manufacturer ASML to delay the postponement of high-end chips as it becomes increasingly uneasy about customer demand. Manufacturing equipment delivery.

Reuters stated that TSMC’s move was on the one hand to better control costs, and on the other hand to become increasingly cautious about future market demand. TSMC later responded to Reuters saying it would not comment on “market rumors.”

TSMC cited comments made by CEO Wei Zhejia in July that the weak economic situation and weak end-market demand have made customers more cautious and focused on controlling inventory.

Note: ASML CEO Peter Wennink said in an interview with Reuters last week that the delivery of the company’s high-end chip manufacturing equipment has been delayed, but did not name TSMC, but he believed this was just a “short-term management” issue.

Here is the sponsor promotion:

GEEKWILLS

Lenovo TWS Earphone is only $9.9

BUY IT NOW
Lenovo Thinkplus TWS Earphone

The post TSMC notifies ASML, other suppliers of delayed delivery of high-end chip-making equipment appeared first on TechGoing.

]]>
ASML CEO promises to deliver first High-NA EUV lithography machine by end of 2023 https://www.techgoing.com/asml-ceo-promises-to-deliver-first-high-na-euv-lithography-machine-by-end-of-2023/ Thu, 07 Sep 2023 07:30:05 +0000 https://www.techgoing.com/?p=129570 ASML CEO Peter Wennink recently said in an interview with Reuters, that despite some obstacles to suppliers, the company will still be in accordance with previously set plans to deliver High NA EUV machines by the end of the year. ASML said a High-NA EUV lithography machine is the size of a truck, with a […]

The post ASML CEO promises to deliver first High-NA EUV lithography machine by end of 2023 appeared first on TechGoing.

]]>
ASML CEO Peter Wennink recently said in an interview with Reuters, that despite some obstacles to suppliers, the company will still be in accordance with previously set plans to deliver High NA EUV machines by the end of the year.

ASML said a High-NA EUV lithography machine is the size of a truck, with a price tag of more than $300 million per machine (currently about RMB 2.19 billion), which can meet the needs of first-tier chipmakers to make smaller, better chips in the next decade.

Wennink said some suppliers have been unable to improve the quantity and quality of components, which has led to slight delays, but overall these difficulties are manageable, promising to deliver the first machine by the end of this year.

In the post-3nm era, ASML and its partners are developing a new EUV lithography machine, the Twinscan EXE:5000 series, which will feature a 0.55 NA (high NA) lens with a resolution of up to 8nm to minimize double or multiple exposures in the 3 nm node and beyond. The machines will have 0.55 NA (high NA) lenses with a resolution of up to 8 nm, thus avoiding double or multiple exposures at 3 nm and above.

The post ASML CEO promises to deliver first High-NA EUV lithography machine by end of 2023 appeared first on TechGoing.

]]>
Samsung raises $2.2 billion by selling ASML stake https://www.techgoing.com/samsung-raises-2-2-billion-by-selling-asml-stake/ Wed, 16 Aug 2023 03:06:25 +0000 https://www.techgoing.com/?p=122780 After seven years of holding a stake in Dutch semiconductor equipment maker ASML, Samsung Electronics has decided to sell some of it. The move was interpreted as an effort to raise funds for the investment, especially as the company’s operating profit is close to a loss this year. According to Samsung Electronics’ semi-annual report released […]

The post Samsung raises $2.2 billion by selling ASML stake appeared first on TechGoing.

]]>
After seven years of holding a stake in Dutch semiconductor equipment maker ASML, Samsung Electronics has decided to sell some of it. The move was interpreted as an effort to raise funds for the investment, especially as the company’s operating profit is close to a loss this year.

According to Samsung Electronics’ semi-annual report released on August 15, the company’s stake in ASML decreased by 3,547,715 shares from 6,297,787 shares in the first quarter to 2,750,072 shares in the second quarter. As a result, Samsung Electronics’ stake in ASML fell from 1.6 percent to 0.7 percent. The book value (market value) of the holdings fell from about 5.59 trillion won to 2.6 trillion won.

Samsung Electronics sold some of its ASML stake in the second quarter. Taking into account ASML’s recent stock price, it is estimated that Samsung has earned about $2.2 billion in revenue from this sale.

In addition, in the second quarter, Samsung Electronics divested 2.38 million shares (0.1 percent) of Chinese electric car maker BYD and 1.544 million shares of South Korean conglomerate SFA, possibly gaining investment capacity of about 150 billion won.

In order to establish a strategic cooperation with ASML, Samsung Electronics acquired a 3.0% stake (12,595,575 shares) in 2012 for 36.3 billion won in ASML, the only company in the world that produces extreme ultraviolet (EUV) lithography equipment. By the third quarter of 2016, Samsung Electronics had sold about half of its stake, about 1.4%, worth 750 billion won.

From the perspective of return on investment, Samsung Electronics has made a lot of money. The stake sold this time alone earned a profit equivalent to nearly ten times the initial investment in 2012.

The stake sale is seen as a move by Samsung to raise funds for semiconductor investments. Despite the slowdown in the global economy, Samsung Electronics continues to increase investment to enhance future competitiveness. One trillion won (currently about 126.698 billion CNY) can be seen.

The post Samsung raises $2.2 billion by selling ASML stake appeared first on TechGoing.

]]>
Japan and Netherlands sign MOU on semiconductor cooperation https://www.techgoing.com/japan-and-netherlands-sign-mou-on-semiconductor-cooperation/ Mon, 26 Jun 2023 03:53:54 +0000 https://www.techgoing.com/?p=108646 According to the Nihon Keizai Shimbun, Japan’s Ministry of Economy, Trade and Industry and the Netherlands Ministry of Economic Affairs and Climate Policy signed a memorandum of cooperation on semiconductors in Tokyo. The two will jointly promote the cooperation between Japanese foundry Rapidus, which wants to mass-produce the 2 nm process, and Dutch lithography giant […]

The post Japan and Netherlands sign MOU on semiconductor cooperation appeared first on TechGoing.

]]>
According to the Nihon Keizai Shimbun, Japan’s Ministry of Economy, Trade and Industry and the Netherlands Ministry of Economic Affairs and Climate Policy signed a memorandum of cooperation on semiconductors in Tokyo. The two will jointly promote the cooperation between Japanese foundry Rapidus, which wants to mass-produce the 2 nm process, and Dutch lithography giant ASML, and join forces for technology development.

lithography machine giant ASML


▲ Photo source: ASML

The report says ASML mass-produces EUV lithography machines needed for cutting-edge semiconductor processes. rapidus plans to use subsidies provided by the Ministry of Economy, Trade and Industry to procure EUV lithography equipment. It is noted that EUV lithography machines are in relatively short supply worldwide, facing competition from giants such as TSMC, Intel and Samsung. The report points out that if Rapidus and ASML start cooperation, it is expected to strengthen the supply chain.

Japanese Minister of Economy, Trade and Industry Minoru Nishimura, who attended the signing ceremony, used Rapidus as a precedent and said he “hopes to strengthen government-to-government cooperation in the field of semiconductors”. Previously, the Netherlands and Japan have followed the footsteps of the United States to strengthen export controls on semiconductors. Japan added 23 products, including semiconductor manufacturing equipment, to its export control list in July, and the Netherlands will tighten export controls on ASML’s 5 nm-class DUV lithography machines NXT:2000i, NXT:2050i and NXT:2100i this week.

The post Japan and Netherlands sign MOU on semiconductor cooperation appeared first on TechGoing.

]]>
ASML is likely to meet export control measures as soon as the end of this month https://www.techgoing.com/asml-is-likely-to-meet-export-control-measures-as-soon-as-the-end-of-this-month/ Fri, 23 Jun 2023 12:34:53 +0000 https://www.techgoing.com/?p=108117 According to Bloomberg News, the Dutch government plans to issue new export control measures as soon as next week, which will restrict the export of ASML’s semiconductor manufacturing equipment. ▲ Source: ASML According to the report, deep ultraviolet (DUV) immersion lithography equipment such as TWINSCAN NXT:2000i, NXT:2050i and NXT:2100i have been added to the export […]

The post ASML is likely to meet export control measures as soon as the end of this month appeared first on TechGoing.

]]>
According to Bloomberg News, the Dutch government plans to issue new export control measures as soon as next week, which will restrict the export of ASML’s semiconductor manufacturing equipment.


▲ Source: ASML

According to the report, deep ultraviolet (DUV) immersion lithography equipment such as TWINSCAN NXT:2000i, NXT:2050i and NXT:2100i have been added to the export control list. It is noticed that this series of equipment can support up to 5 nm process, such as TSMC has achieved 7 nm mass production using SAQP and argon fluorine immersion (ArFi) lithography. Previously, ASML’s most advanced extreme ultraviolet (EUV) lithography machine was already on the export control list.

Bloomberg quoted people familiar with the matter as saying that this new export control regulation will be announced as early as June 30 or the first week of July, and it may become a target for imitation by other EU member states. A spokesman for the Dutch government declined to comment.

The report pointed out that this is the latest move since the Netherlands and Japan agreed in principle to join the United States in restricting the export of advanced semiconductor manufacturing equipment to mainland China in January this year. Dutch Trade Minister Schreinemacher said that the Netherlands needs to restrict the export of advanced semiconductor manufacturing equipment as soon as possible due to national and international security considerations. Export of advanced semiconductor technology.

It was reported yesterday that Christophe Fouquet, executive vice president and commercial director of ASML, claimed that it is almost impossible to establish a fully independent chip industry chain. The cost of doing so is extremely high and difficult. Japanese lithography machine manufacturers Canon and Nikon it is a lesson from the past.

The post ASML is likely to meet export control measures as soon as the end of this month appeared first on TechGoing.

]]>
Vice President ASML: It is almost impossible to establish fully independent chip industry chain https://www.techgoing.com/vice-president-asml-it-is-almost-impossible-to-establish-fully-independent-chip-industry-chain/ Thu, 22 Jun 2023 17:57:40 +0000 https://www.techgoing.com/?p=107960 According to Nikkei Asia, Christophe Fouquet, executive vice president and commercial director of lithography giant ASML, said that in the global semiconductor supply chain, any single country wants to decouple from others and build a completely self-reliant chip. The industry is extremely difficult and expensive, if not impossible. ▲ Source: ASML According to the report, […]

The post Vice President ASML: It is almost impossible to establish fully independent chip industry chain appeared first on TechGoing.

]]>
According to Nikkei Asia, Christophe Fouquet, executive vice president and commercial director of lithography giant ASML, said that in the global semiconductor supply chain, any single country wants to decouple from others and build a completely self-reliant chip. The industry is extremely difficult and expensive, if not impossible.


▲ Source: ASML

According to the report, Fouquet believes that ASML does not believe that decoupling is possible. Doing so would be extremely difficult and expensive. He emphasized that sooner or later people will understand that the only way to win the semiconductor industry is cooperation. Fouquet told Nikkei Asia that the idea of hiding in a dark corner and doing everything by himself is extremely challenging.

Fouquet pointed out that the secret of ASML’s success is to maintain long-term cooperation with key global suppliers, such as Carl Zeiss and Cymer Laser. In addition, ASML has also received support from its largest customers TSMC and Intel.

It is noticed that when asked about the difference between ASML and Japanese lithography machine suppliers Canon and Nikon, Fouquet said that ASML uses the best suppliers in the world, while Canon and Nikon tend to solve problems by themselves. the key to success.

Fouquet mentioned that ASML will only select one of the best suppliers for precision parts. Fouquet also said that until 2026, ASML will keep 80% to 90% of its manufacturing and integration in Veldhoven, where the company is headquartered.

The post Vice President ASML: It is almost impossible to establish fully independent chip industry chain appeared first on TechGoing.

]]>