Home Computers Intel: Our goal is to be the second-largest foundry by 2030

Intel: Our goal is to be the second-largest foundry by 2030

0

When Intel set up the foundry sector in early 2021, the cost of production nodes of major foundries has pushed up to a very high degree, and even will get higher, and Intel has relatively enough strength to fight hard with Samsung and TSMC.

In fact, Intel has said from the beginning that it wants the sector to become a foundry on par with Samsung and TSMC in terms of scale. Now it seems that the company’s current plan is to make it the second-largest foundry by 2030.

Randhir Thakur, president of Intel Foundry Services, said in an interview with Nikkei Asia: “Our goal is to be the second largest foundry in the world by the end of this 20s, and (we) want to see lucrative foundry margins.”

To become No. 2 in the global foundry market means Intel must beat Samsung Electronics.

According to TrendForce, Samsung generated more than $20 billion in foundry revenue in 2021 and is expected to surpass that figure in 2022.

As of the first quarter of 2022, Samsung held about 16.3 percent of global foundry revenue, significantly behind market leader TSMC (53.6 percent) but significantly ahead of peers UMC (6.9 percent) and Grosvenor (5.9 percent).

By comparison, Intel’s IFS business unit has generated $576 million in revenue year to date. Once the acquisition of Tower Semiconductor is completed in early 2023, Intel’s IFS division will add about $1.5 billion in annual revenue, thus transforming it into the world’s 7th or 8th largest foundry, but still far below Samsung in terms of revenue.

To become the world’s second-largest chip foundry, Intel must adopt a multifaceted strategy that includes the following.

Developing cutting-edge process technologies to compete with Samsung and TSMC in terms of power, performance and area (PPA), while also considering yields and time to market.

Delivering leading-edge capacity to IFS customers.

Maintain Tower Semiconductor’s operational and competitive position through innovation of proven technologies.

Orders primarily from current TSMC and Samsung foundry customers, perhaps with some customers taken from GlobalFoundries and SMIC.

Big Moves
Intel previously gave a fairly aggressive process technology roadmap that includes high-volume production on its 18A process in 2025 and the possible introduction of high-NA extreme UV lithography for the 18A platform.

Intel’s production node plans are much more aggressive compared to Samsung and TSMC. Both Samsung and TSMC plan to start production of 2nm (20 Em class) chips in 2025, but this is the initial start-up time.

Intel’s plans don’t budge one bit when it comes to semiconductor capacity, either. The company is building 20A Fab 52 and Fab 62 fabs at a site near Chandler, Arizona; its first two 18A/20A fabs at a site near Columbus, Ohio; $3.5 billion worth of advanced packaging equipment; completing a new Intel 4 fab at a facility near Reclipp, Ireland; and a brand new fab near Magdeburg, Germany The company is also building a new plant near Magdeburg, Germany.

Overall, Intel plans to invest approximately $100 billion in new semiconductor manufacturing facilities over the next several years.

“Since the launch of IFS, we have been engaging with our foundry customers and it is clear that many of these companies see the need for a more resilient and geographically balanced semiconductor supply chain,” Thakur said.

Exit mobile version