Samsung 3nm Process Archives - TechGoing https://www.techgoing.com/tag/samsung-3nm-process/ Technology News and Reviews Mon, 08 May 2023 05:47:20 +0000 en-US hourly 1 https://wordpress.org/?v=6.4.4 Samsung’s next-gen 3nm process SF3 will debut at VLSI 2023: 34% better energy efficiency https://www.techgoing.com/samsungs-next-gen-3nm-process-sf3-will-debut-at-vlsi-2023-34-better-energy-efficiency/ Mon, 08 May 2023 05:47:16 +0000 https://www.techgoing.com/?p=95186 The 2023 International VLSI Technology Symposium will be held in Kyoto, Japan from June 11 to 16. The official has revealed in advance some of the content that will be announced at the summit. In addition to technical demonstrations, the VLSI Symposium will include demo sessions, joint focus sessions, evening panel discussions, short courses, workshops […]

The post Samsung’s next-gen 3nm process SF3 will debut at VLSI 2023: 34% better energy efficiency appeared first on TechGoing.

]]>
The 2023 International VLSI Technology Symposium will be held in Kyoto, Japan from June 11 to 16. The official has revealed in advance some of the content that will be announced at the summit.

In addition to technical demonstrations, the VLSI Symposium will include demo sessions, joint focus sessions, evening panel discussions, short courses, workshops and special forums. There will also be some cutting-edge CMOS technology-focused papers, such as “World’s first GAA 3nm process (SF3) with new MBCFET technology”.

The reason why Samsung’s 3nm technology is so hotly anticipated is that it enables the transition from FinFET to Gate-All-Around transistor architecture. It is said that SF3 has achieved a 22% frequency increase, 34% energy efficiency improvement, and 21% area reduction (PPA) compared to the 4nm FinFET platform.

Samsung SF3 technology is an upgraded version of the industry’s first mass-produced GAA process. It adopts a multi-bridge channel MBCFET (Multi-Bridge-Channel FET) design, which can provide quite good performance for various nanosheet widths. Under a fixed standard cell height Significantly improves the power consumption performance matrix at the chip level, thereby surpassing the FinFET platform.

According to Korean media, Samsung will focus on 3nm production from 2023 to 2024, namely SF3 (3GAP) and its improved version SF3P (3GAP+). The company also plans to start rolling out its 2nm class nodes in 2025-2026.

Samsung next gen 3nm process

After both Samsung and TSMC have entered the era of 3nm process, the 3nm process will become the mainstream of the foundry market in the future. Therefore, it is estimated that by 2025, the output value of the 3nm process market will reach as high as 25.5 billion US dollars, surpassing the estimated 19.3 billion US dollars output value at 5nm.


Image source Unsplash

According to data from market research agency TrendForce, in the third quarter of 2022, in the global foundry market, TSMC still ranks first with a 53.4% market share, while Samsung, which ranks second, only has a 16.4% market share. Therefore, under the fierce competition in the market, the 3nm process will also become the key to the main competition between the two companies in the future.

The post Samsung’s next-gen 3nm process SF3 will debut at VLSI 2023: 34% better energy efficiency appeared first on TechGoing.

]]>
Samsung’s 3nm process yields have improved dramatically, 2nd Gen 3nm process in the development https://www.techgoing.com/samsungs-3nm-process-yields-have-improved-dramatically-2nd-gen-3nm-process-in-the-development/ Tue, 10 Jan 2023 07:38:21 +0000 https://www.techgoing.com/?p=63133 TSMC held a 3nm mass production and factory expansion ceremony in Tainan Science Park on December 29th, officially announcing the launch of 3nm mass production. Although Samsung has started N3 (3nm) process chip manufacturing as early as half a year ago, it seems that the production yield has seriously declined due to the adoption of […]

The post Samsung’s 3nm process yields have improved dramatically, 2nd Gen 3nm process in the development appeared first on TechGoing.

]]>
TSMC held a 3nm mass production and factory expansion ceremony in Tainan Science Park on December 29th, officially announcing the launch of 3nm mass production. Although Samsung has started N3 (3nm) process chip manufacturing as early as half a year ago, it seems that the production yield has seriously declined due to the adoption of GAA.

Of course, Samsung did not sit still. Previously, the industry said that it had cooperated with IBM, Silicon Frontline Technology and other companies to improve the 3nm yield rate, hoping to win some orders for Qualcomm Snapdragon 8 Gen3 for its own mobile phones.

According to TSMC’s own statement, the yield rate of its 3nm and 5nm is basically the same at the beginning of its advent. In contrast, when Samsung’s 3nm GAA was first put into production, the yield rate was only a poor 20%, and the scrap rate was ridiculously high. However, there has been news recently that Samsung’s 3nm yield rate has been greatly improved, and it is now “close to perfection.”

According to Korea Daily Economic News, a Samsung executive said in an interview that compared with the previous yield problem, Samsung’s first-generation 3nm process yield is close to perfect, and the second-generation 3nm chip technology is also improving. Expand quickly. In addition, the previously rumored 90% TSMC 3nm yield rate is too exaggerated, and it may actually be more than 50%.

TSMC has started mass production of 3nm FinFET chips at the end of last year. According to a report published by Business Next, according to experts who specialize in semiconductor research, TSMC’s 3nm process yield at that time was estimated to be about 60-70%, and in some cases it could exceed 70%.

Image source Unsplash

Korean media reports further pointed out that after both Samsung and TSMC have entered the era of 3nm process, the future 3nm process will become the mainstream of the foundry market. Therefore, it is expected that by 2025, the output value of the 3nm process market will be as high as US$25.5 billion, surpassing the estimated US$19.3 billion output value of the 5nm process at that time.

According to the survey data from the market research unit TrendForce, in the third quarter of 2022, in the global foundry market, TSMC still ranks first with a 53.4% market share, while Samsung, which ranks second, only has a 16.4% market share. Therefore, under fierce market competition, the 3nm process will also become the key to the main competition between the two companies in the future.

The post Samsung’s 3nm process yields have improved dramatically, 2nd Gen 3nm process in the development appeared first on TechGoing.

]]>
Samsung’s 3nm process has received orders from Nvidia, Qualcomm, IBM and other companies https://www.techgoing.com/samsungs-3nm-process-has-received-orders-from-nvidia-qualcomm-ibm-and-other-companies/ Wed, 23 Nov 2022 15:58:30 +0000 https://www.techgoing.com/?p=48581 Samsung Foundry, the foundry division of Samsung Electronics, has signed contracts with Nvidia, Qualcomm, IBM, Baidu and other companies to make chips for them using 3nm process technology, according to a South Korean media report. At the end of June 2021, Samsung officially announced the successful flow of 3nm, and the success of the flow […]

The post Samsung’s 3nm process has received orders from Nvidia, Qualcomm, IBM and other companies appeared first on TechGoing.

]]>
Samsung Foundry, the foundry division of Samsung Electronics, has signed contracts with Nvidia, Qualcomm, IBM, Baidu and other companies to make chips for them using 3nm process technology, according to a South Korean media report.

At the end of June 2021, Samsung officially announced the successful flow of 3nm, and the success of the flow means that it is only one step away from mass production. On June 30 this year, the company officially announced the successful mass production of 3nm, and the first 3nm wafers shipped on July 25 this year.

Previously, it was reported that Samsung’s 3nm process had encountered difficulties. Since the mass production, the process yield does not exceed 20%, the volume production progress is bottlenecked. Samsung said it will work with Silicon Frontline Technology to find a solution.

Foreign media reports said Samsung Foundry is expected to supply 3nm wafers to the chipmaker from 2024 at the earliest.

It is worth noting that, unlike TSMC’s 3nm process, Samsung’s 3nm process uses more advanced GAA transistors instead of finned field effect transistors (FinFETs).

TSMC’s 3nm foundry price has now reportedly exceeded $20,000. However, due to the company’s dominant position in chip manufacturing and the current lack of competition in the 3nm process market, the company will significantly increase the price of its 3nm wafers.

The post Samsung’s 3nm process has received orders from Nvidia, Qualcomm, IBM and other companies appeared first on TechGoing.

]]>
Leading TSMC has no game, Samsung’s 3nm process is embarrassed https://www.techgoing.com/leading-tsmc-has-no-game-samsungs-3nm-process-is-embarrassed/ Thu, 25 Aug 2022 14:58:07 +0000 https://www.techgoing.com/?p=19173 In the advanced process competition, Samsung and TSMC has been the leading two, and the two companies can be described as a moment, Samsung in the last 10 years by TSMC all kinds of suppression, until the end of June on the 3nm process Samsung finally moved back to a game, preempting the mass production […]

The post Leading TSMC has no game, Samsung’s 3nm process is embarrassed appeared first on TechGoing.

]]>
In the advanced process competition, Samsung and TSMC has been the leading two, and the two companies can be described as a moment, Samsung in the last 10 years by TSMC all kinds of suppression, until the end of June on the 3nm process Samsung finally moved back to a game, preempting the mass production of 3nm, and is the GAA transistor technology.

In contrast, TSMC’s 3nm process is still based on mature FinFET transistor technology, with volume production in September, and GAA transistors will not be used until 2024 on the 2nm process, which is indeed one time ahead of Samsung in terms of technological advancement.

However Samsung won the face, but the first 3nm process is still embarrassing – Samsung has few customers to use, and the only customer that can be identified is a Chinese miner chip maker PanSemi (Shanghai PanSemi Semiconductor Technology Co., Ltd.).

A few days ago the Korean media said that Samsung found a second 3nm customer, a phone chip maker, and even rumors of oversupply of capacity, however, this customer in the end who has not been clear.

TSMC side is the opposite, in addition to Apple will debut 3nm process, AMD, Qualcomm, NVIDIA, MediaTek, Broadcom and other traditional customers will almost also choose TSMC 3nm, Intel will also use TSMC’s 3nm manufacturing GPU module on the 15th generation Core, these customers are basically confirmed.

For Samsung, although the 3nm GAA process has achieved lead, and foundry prices compared to TSMC there are advantages, but the impact of past negative issues such as yield, capacity and energy efficiency has not been completely eliminated, TSMC is still a stable and reliable choice for semiconductor majors.

The post Leading TSMC has no game, Samsung’s 3nm process is embarrassed appeared first on TechGoing.

]]>