EUV process Archives - TechGoing https://www.techgoing.com/tag/euv-process/ Technology News and Reviews Sun, 12 Feb 2023 18:37:20 +0000 en-US hourly 1 https://wordpress.org/?v=6.4.4 Intel will impact the 1nm process: the next generation of EUV lithography https://www.techgoing.com/intel-will-impact-the-1nm-process-the-next-generation-of-euv-lithography/ Sun, 12 Feb 2023 18:37:17 +0000 https://www.techgoing.com/?p=71585 As Moore’s Law, Intel is also the most determined defender of Moore’s Law, repeatedly said that the semiconductor process will continue to improve, after the existing four years to master the 5 generation CPU process, Intel also launched the next two generations of CPU process development, the goal is approaching 1nm. Intel’s 5 generations of […]

The post Intel will impact the 1nm process: the next generation of EUV lithography appeared first on TechGoing.

]]>
As Moore’s Law, Intel is also the most determined defender of Moore’s Law, repeatedly said that the semiconductor process will continue to improve, after the existing four years to master the 5 generation CPU process, Intel also launched the next two generations of CPU process development, the goal is approaching 1nm.

Intel’s 5 generations of CPU process are Intel 7, Intel 4, Intel 3, Intel 20A and Intel 18A, of which Intel 7 debuted in 2021 on the 12th generation Core, Intel 4 will debut in the second half of the 14th generation Core, will also be the first time with EUV lithography process.

Intel 3 is an improved version of Intel 4, Intel 20A and the subsequent 18A is a major upgrade, equivalent to the 2nm and 1.8nm nodes of the Friends, which will be mass-produced in the first half of 2024 and the second half of the year, and will regain the leadership of the semiconductor process in 2025.

What about further down the road? Intel’s current roadmap does not mention the new process, but the latest news says Intel has launched the definition and development of the next two generations of the process, but there is no clear information.

According to the IMEC previously announced chip process roadmap, the 2nm process followed by 14A, that is, 1.4nm process, is expected to come out in 2026, and then the A10 process, that is, 1nm, came out in 2028.

Intel to develop the next two generations of the process should also be 1.4nm, 1nm level, the specific naming to wait for the official determination, after all, the time is still early.

After the 2nm process to upgrade the equipment, the current EUV lithography is not very efficient at that time, ASML is expected to launch the next generation of High NA technology EUV lithography EXE:5000 series in 2026, the NA indicator from the current 0.33 to 0.55, to further enhance the lithography resolution.

However, the cost of the next generation of EUV lithography will also rise sharply, with the current price tag at around $150 million and the next generation price easily exceeding $400 million.

The post Intel will impact the 1nm process: the next generation of EUV lithography appeared first on TechGoing.

]]>
ASML CTO expects chip manufacturing costs to skyrocket https://www.techgoing.com/tsmc-cto-expects-chip-manufacturing-costs-to-skyrocket/ Tue, 27 Sep 2022 04:44:08 +0000 https://www.techgoing.com/?p=30691 In an interview with Bits & Chips, ASML’s Chief Technology Officer Martin van den Brink predicted that – in the near future – semiconductor lithography may come to an end. According to the current roadmap, ASML will move to high numerical apertures after extreme ultraviolet lithography (EUV). The company is now working with Imec to […]

The post ASML CTO expects chip manufacturing costs to skyrocket appeared first on TechGoing.

]]>
In an interview with Bits & Chips, ASML’s Chief Technology Officer Martin van den Brink predicted that – in the near future – semiconductor lithography may come to an end. According to the current roadmap, ASML will move to high numerical apertures after extreme ultraviolet lithography (EUV). The company is now working with Imec to bring its first research-based High-NA scanner in 2023.

File photo (from: ASML)

If all goes well, ASML is expected to deliver its first development machine in 2024, with the first mass production devices using High-NA sometime in 2025. However, this final timing may change due to concerns about current supply chain uncertainty.

For this reason, current orders will be a priority. If necessary, the development of Hign-NA may be put on hold. Or as Martin van den Brink puts it – “today’s meal takes priority over tomorrow’s”.

In addition, as the next stage of lithography development, High-NA scanners are expected to be more power hungry than EUVs, with about 2 MW of power in each stage, and prohibitively expensive to manufacture and use.

Martin van den Brink concluded that if the cost of hyper-NA skyrockets similarly to Hign-NA, it will not be economically viable until the problem is overcome. is almost unfeasible.

The post ASML CTO expects chip manufacturing costs to skyrocket appeared first on TechGoing.

]]>
Intel miracle staged: EUV technology surpasses TSMC and Samsung https://www.techgoing.com/intel-miracle-staged-euv-technology-surpasses-tsmc-and-samsung/ Mon, 19 Sep 2022 03:57:12 +0000 https://www.techgoing.com/?p=27448 Intel used to be the world’s most advanced chip process leader, however, encountered problems between the 14nm and 10nm nodes, resulting in TSMC, Samsung caught up and took the lead in mass production of the EUV process, but Intel is also working hard to overtake, the CEO developed a roadmap that means they only need […]

The post Intel miracle staged: EUV technology surpasses TSMC and Samsung appeared first on TechGoing.

]]>
Intel used to be the world’s most advanced chip process leader, however, encountered problems between the 14nm and 10nm nodes, resulting in TSMC, Samsung caught up and took the lead in mass production of the EUV process, but Intel is also working hard to overtake, the CEO developed a roadmap that means they only need 2 years to achieve the EUV process to catch up with TSMC, Samsung’s plan.

Intel’s current mass production process is Intel 7, from next year’s 14th generation Core into the Intel 4 process, which is Intel’s first EUV process, followed by the Intel 3 process is improved on the basis of Intel 4.

Intel will mass-produce the 20A process in the first half of 2024, and the 18A process originally scheduled for mass production in 2025 has been advanced to the second half of 2024. These two generations of processes will abandon the FinFET transistor process and enter the Emi-level process for the first time, using Intel’s two black technology technologies, namely RibbonFET and PowerVia, the former being the GAA transistor Intel version, the latter is Intel’s first and exclusive backside power supply technology.

According to Intel’s plan, this roadmap means that they will achieve three generations of EUV process mass production within 1-2 years in 2023-2024, and the technology level is sufficient to exceed TSMC’s return to the first.

You know, TSMC and Samsung began production of EUV process as early as 2018-2019, Huawei’s Kirin 990 is the first TSMC 7nm EUV process, by 2024-2025, the two mass production EUV process at least 5-6 years, Intel only 1-2 years to easily surpass.

Intel’s current 4-year mass production 5 generation CPU process roadmap is really Intel’s miracle 4 years if there are not any jumps.

The post Intel miracle staged: EUV technology surpasses TSMC and Samsung appeared first on TechGoing.

]]>
Intel’s advanced process king returns: “7nm” shipments of 35 million, “1.8nm” ahead of schedule https://www.techgoing.com/intels-advanced-process-king-returns-7nm-shipments-of-35-million-1-8nm-ahead-of-schedule/ Fri, 29 Jul 2022 02:56:00 +0000 https://www.techgoing.com/?p=11818 Intel released today in the second quarter earnings, although revenue and earnings are all kinds of decline, which has a lot of reasons, but in the advanced process Intel announced a series of new developments, no longer delayed as before, the opposite is 20A, 18A such processes will also advance mass production. From last year’s […]

The post Intel’s advanced process king returns: “7nm” shipments of 35 million, “1.8nm” ahead of schedule appeared first on TechGoing.

]]>
Intel released today in the second quarter earnings, although revenue and earnings are all kinds of decline, which has a lot of reasons, but in the advanced process Intel announced a series of new developments, no longer delayed as before, the opposite is 20A, 18A such processes will also advance mass production.

From last year’s 12th generation Core, Intel mass-produced the Intel 7 process, the digital equivalent of the friendly process, which is not only the first new process after the renaming of the Intel process, but also the starting point for the next four years to master the 5 generations of CPU processes, respectively Intel 7, Intel 4, Intel 3 and Intel 20A, Intel 18A process.

Against the friendly 7nm process Intel 7 is the main force at present, in addition to the 12 generation Core debut, the end of the 13 generation Core, server-class sapphire rapids are Intel 7 process.

According to Intel, Intel 7 process production processors have shipped more than 35 million.

After Intel 7 is the Intel 4 process, which is Intel’s first EUV process that will increase the performance per watt of its transistors by about 20 percent, and Intel says the process will be ready for mass production in the second half of this year.

The first Intel 4 process is the 14th generation Core Meteor Lake series, which will be available next year, or the first half of the year if it is the fastest.

Intel 4 is followed by Intel 3 process, which will achieve about 18% improvement in performance per watt again on the basis of Intel 4. This generation of process is also the mainstay of Intel’s future foundry offering.

After Intel 3 is Intel 20A and 18A process, these two processes are the first time into the Emi node, can be seen as the Friends of 2nm, 1.8nm level, which 20A in the previous generation of Intel 3 based on the performance per watt to achieve about 15% improvement, 18A in 20A based on the performance per watt and then achieve about 10% improvement.

In addition, these two generations of processes will also debut two breakthrough technologies, namely RibbonFET and PowerVia, of which RibbonFET is Intel’s implementation of Gate All Around transistors, which will be the company’s first new transistor architecture since the pioneering launch of FinFET in 2011.

The technology speeds up transistor switching while achieving the same drive current as a multi-fin architecture, but in a smaller footprint.

PowerVia is Intel’s exclusive and industry-first backside power delivery network, which optimizes signal delivery by eliminating the need for wafer-front power supply wiring.

According to Intel’s news, Intel 3 and 20A, 18A three processes are progressing well, not only not postponed, and even will be ahead of mass production – previously also broke the news to say this, 18A process was originally in 2025 mass production, now can be in the second half of 2024 mass production, the year Intel Will be mass production of 20A and 18A two-generation process at the same time.

The post Intel’s advanced process king returns: “7nm” shipments of 35 million, “1.8nm” ahead of schedule appeared first on TechGoing.

]]>