4nm process Archives - TechGoing https://www.techgoing.com/tag/4nm-process/ Technology News and Reviews Fri, 03 Nov 2023 06:49:59 +0000 en-US hourly 1 https://wordpress.org/?v=6.4.4 Samsung’s next-gen 3nm / 4nm nodes expected to be mass-produced in the H2 of next year https://www.techgoing.com/samsungs-next-gen-3nm-4nm-nodes-expected-to-be-mass-produced-in-the-h2-of-next-year/ Fri, 03 Nov 2023 06:49:58 +0000 https://www.techgoing.com/?p=149548 Samsung Electronics revealed to investors this week that it will transition and promote photolithography technology in the near future, and plans to launch the second-generation 3nm process technology (SF3) and the mass production version of 4nm to the market in the second half of 2024. Products of Craft (SF4X). Source: Samsung Electronics A statement from […]

The post Samsung’s next-gen 3nm / 4nm nodes expected to be mass-produced in the H2 of next year appeared first on TechGoing.

]]>
Samsung Electronics revealed to investors this week that it will transition and promote photolithography technology in the near future, and plans to launch the second-generation 3nm process technology (SF3) and the mass production version of 4nm to the market in the second half of 2024. Products of Craft (SF4X).

Source: Samsung Electronics

A statement from the company read:

 We plan to launch mass production of the second-generation 3nm process and the fourth-generation 4nm process for HPC in the second half of this year to further enhance our technological competitiveness.

 The market is expected to shift towards growth due to rebound in mobile demand and continued growth in HPC demand.

Note: Samsung’s upcoming SF3 process technology is a major upgrade to its existing SF3E production node, which according to currently published information is only used to manufacture small chips for cryptocurrency mining.

Samsung claims that SF3 will provide greater design versatility, enabling different nanosheet channel widths for different all-gate (GAA) transistors within the same cell type.

Although Samsung did not directly compare SF3 and SF3E, Samsung said that SF3 has significant improvements over SF4 (4LPP, 4nm level, low power consumption): including 22% performance improvement at the same power and complexity, or at the same frequency and transistor count Power consumption is reduced by 34%, and logic area is reduced by 21%.

THIS IS A SPONSOR PROMOTION: >>>>>>>>>>>>>

Geekwills is an online shop that connects consumers with millions of products and brands around the world with the mission to empower them to live their best lives. Geekwills is committed to offering the most affordable quality products to enable consumers and sellers to fulfill their dreams in an inclusive environment.

Geekwills

The post Samsung’s next-gen 3nm / 4nm nodes expected to be mass-produced in the H2 of next year appeared first on TechGoing.

]]>
Tenstorrent will cooperate with Samsung to produce next-gen products using 4nm process https://www.techgoing.com/tenstorrent-will-cooperate-with-samsung-to-produce-next-gen-products-using-4nm-process/ Tue, 03 Oct 2023 06:45:36 +0000 https://www.techgoing.com/?p=138240 AI chip startup Tenstorrent recently announced that it will cooperate with Samsung to produce the next generation of AI chiplets, which will be manufactured using the 4nm SF4X process. ▲ Screenshot of Tenstorrent official website, the same below According to reports, Tenstorrent has built powerful RISC-V CPUs and AI acceleration chips, aiming to break through […]

The post Tenstorrent will cooperate with Samsung to produce next-gen products using 4nm process appeared first on TechGoing.

]]>
AI chip startup Tenstorrent recently announced that it will cooperate with Samsung to produce the next generation of AI chiplets, which will be manufactured using the 4nm SF4X process.

▲ Screenshot of Tenstorrent official website, the same below

According to reports, Tenstorrent has built powerful RISC-V CPUs and AI acceleration chips, aiming to break through the computing boundaries in multiple industries such as data centers, automobiles, and robotics. These chiplets are designed to deliver scalable power from milliwatts to megawatts for a wide range of applications from edge devices to data centers.

Tenstorrent praises the Samsung team as “known for its expertise in silicon manufacturing,” and the chiplets will be manufactured using Samsung’s state-of-the-art SF4X process, which boasts an impressive 4nm architecture.

Marco Chisari, head of Samsung’s U.S. foundry business, said: “Samsung is expanding in the United States and we are committed to providing customers with the best semiconductor technology.” He also added: “Samsung’s advanced manufacturing process will accelerate Tenstorrent’s development in RISC-V and AI innovations in data centers and automotive solutions. We look forward to becoming Tenstorrente’s foundry partner.”

According to previous reports, Tenstorrent completed a new round of financing of US$100 million in August this year, with participation from Hyundai Motor Group and Samsung Catalyst Fund, a venture capital company under Samsung. This is Tenstorrent’s seventh round of financing. The key difference is that previous financings were done with venture capitalists, while this time it is working directly with technology companies.

The post Tenstorrent will cooperate with Samsung to produce next-gen products using 4nm process appeared first on TechGoing.

]]>
TSMC’s U.S. plant is more of a face-saving project of $40 billion https://www.techgoing.com/tsmcs-u-s-plant-is-more-of-a-face-saving-project-of-40-billion/ Tue, 12 Sep 2023 05:56:14 +0000 https://www.techgoing.com/?p=130976 According to The Information report, TSMC’s plant in Arizona in the United States under the strong impetus of President Biden, the entire scale of the construction reached 40 billion U.S. dollars (currently about RMB 294.4 billion), but in fact, the plant is more like a face-saving project, the United States of America’s chip manufacturing industry […]

The post TSMC’s U.S. plant is more of a face-saving project of $40 billion appeared first on TechGoing.

]]>
According to The Information report, TSMC’s plant in Arizona in the United States under the strong impetus of President Biden, the entire scale of the construction reached 40 billion U.S. dollars (currently about RMB 294.4 billion), but in fact, the plant is more like a face-saving project, the United States of America’s chip manufacturing industry is almost no help.

After interviewing a number of TSMC engineers and former Apple employees, they all said that although the Arizona factory for Apple, NVIDIA, AMD, Tesla and other customers produces many advanced chips, the packaging still needs to be transferred to Taiwan, China to complete.

The sources said TSMC has no plans to build a packaging plant in Arizona or elsewhere in the U.S., and the employees interviewed said such projects would be costly in the U.S.

Apple chip partner TSMC’s new plant in Arizona held its first machine-in ceremony last year, with Apple CEO Tim Cook on hand to help and Intel CEO Kissinger tweeting congratulations.

Image source: Cook’s tweet

Industry analyst Ben Thompson wrote on his Stratechery blog, “Simply put, even if TSMC’s new plant can start volume production in 2024, it will still be two years behind 4nm production. And if the plant plans to produce 5-nanometer processes, it will actually be four years behind.”

The post TSMC’s U.S. plant is more of a face-saving project of $40 billion appeared first on TechGoing.

]]>
TSMC’s advanced process orders are full OPPO, Tesla, etc. have placed orders https://www.techgoing.com/tsmcs-advanced-process-orders-are-full-oppo-tesla-etc-have-placed-orders/ Wed, 04 Jan 2023 16:53:32 +0000 https://www.techgoing.com/?p=61269 According to Taiwan media Electronic Times, semiconductor equipment industry insiders pointed out that TSMC’s advanced manufacturing orders are full. In addition to existing customers such as Apple and Qualcomm, Google and Tesla have already reported that they will invest in TSMC. Sources pointed out that TSMC has recently become the first choice for China Netcom, […]

The post TSMC’s advanced process orders are full OPPO, Tesla, etc. have placed orders appeared first on TechGoing.

]]>
According to Taiwan media Electronic Times, semiconductor equipment industry insiders pointed out that TSMC’s advanced manufacturing orders are full. In addition to existing customers such as Apple and Qualcomm, Google and Tesla have already reported that they will invest in TSMC. Sources pointed out that TSMC has recently become the first choice for China Netcom, automobile and mobile phone manufacturers.

In the automotive field, TSMC cooperates with Volkswagen, GM, and Toyota. In addition, Amazon, Baidu, Alibaba, etc. have already invested in TSMC. After Xiaomi and Vivo, OPPO has also embarked on the road of self-developed chips. It is rumored that OPPO has invested in TSMC and signed a 4nm cooperation.

With competitors’ technologies and yields falling short of expectations, TSMC continued to receive orders from major customers such as mobile phones and HPC.

Currently, Samsung Electronics and Intel are stuck in the black hole of advanced manufacturing investment, and the huge cost may be difficult to recover.

Looking forward to the first half of 2023, TSMC may not be able to avoid entering the industry’s high inventory and low demand storm circle. The market expects that TSMC’s revenue growth momentum will weaken significantly, but in the second half of the year, as inventory depletion comes to an end and demand picks up, driven by the launch of new products from many factories, operations will rebound significantly.

As for Samsung and Intel, the market conditions will be sluggish in the first half of 2023. The two manufacturers are facing huge investment but no customer orders. The next expansion plan may make the situation even more difficult.

Samsung has confirmed that it will lose a large order for Nvidia GPUs, and Qualcomm has also greatly reduced the proportion of its investment. The two major customers are estimated to account for about 40% of Samsung’s foundry business. It is difficult for customers who are superimposed on their own 3nm GAA process to support advanced process investment. Intel, which is facing the loss of market share in PCs and servers, and the dilemma of splitting design and foundry, has returned to market competition. It has no technology and cost advantages, and it is difficult to obtain orders from competitors such as AMD, Nvidia, and Qualcomm.

The advanced process below 7nm is expensive, and the number of major chip manufacturers with the ability to place orders has been greatly reduced with the advancement of the process; the customer base for the 3nm process is mainly mobile phone and HPC manufacturers, and there are only a handful of customers who place orders. Currently, Apple, MediaTek, Qualcomm, AMD, Nvidia, Intel and Broadcom are all placing orders at TSMC.

The tide of self-developed chips brings business opportunities

In recent years, Apple’s self-developed chip research and development has expanded to the Mac family, 5G modem, RF and other fields. Research chip ranks.

Equipment manufacturers said that in the automotive field, the “chip shortage” trend has changed the traditional supply chain model. International automakers have begun to directly match wafer foundries. TSMC is currently cooperating with Volkswagen, GM, and Toyota. In addition, because Samsung’s 5/3nm process technology is difficult to meet Tesla’s requirements, TSMC’s 4nm process has also received orders for Tesla’s next-generation self-driving chips.

In the field of mobile phones, after Xiaomi and vivo, OPPO has also embarked on the road of self-developed chips. Recently, it has been widely rumored that OPPO has invested in TSMC and signed a 4nm cooperation agreement. In fact, it was reported more than a year ago. Mobile phone brands in mainland China are entering the 5nm era to maintain competitiveness. Under the poor yield rate of Samsung below 5nm, it can only place an order for TSMC.

With the wave of self-developed chips driven by Apple sweeping across the country, device applications are more diverse, and there is no competition at the same level, TSMC has become the first choice for film production, and its competitive advantage continues to expand. TSMC’s long-term orders for advanced processes below 7nm have been stable. In addition, TSMC’s foundry quotations continue to rise. After the revenue and profit have survived the industry correction storm in the first half of 2023, operations will resume growth.

The post TSMC’s advanced process orders are full OPPO, Tesla, etc. have placed orders appeared first on TechGoing.

]]>
Samsung ramps up fab investment and will build pilot lines in P4 and Texas plants during the year https://www.techgoing.com/samsung-ramps-up-fab-investment-and-will-build-pilot-lines-in-p4-and-texas-plants-during-the-year/ Tue, 03 Jan 2023 19:09:07 +0000 https://www.techgoing.com/?p=60782 According to The Elec, Samsung plans to build new trial production lines at the P4 plant in Pyeongtaek, South Korea, and the Taylor plant in Texas, USA before the end of the year. It is reported that the Taylor factory will be a foundry for the production of advanced chips such as 5G, artificial intelligence, […]

The post Samsung ramps up fab investment and will build pilot lines in P4 and Texas plants during the year appeared first on TechGoing.

]]>
According to The Elec, Samsung plans to build new trial production lines at the P4 plant in Pyeongtaek, South Korea, and the Taylor plant in Texas, USA before the end of the year.

It is reported that the Taylor factory will be a foundry for the production of advanced chips such as 5G, artificial intelligence, and high-performance computing, while the P4 factory will be used to produce higher-level NAND memory and 4nm / 3nm logic chips. In addition, Samsung will begin commercial production at some point in 2024, provided the main production line goes well.

For fabs or chip production facilities, the pilot line is usually built three months before the main production line is built. Compared with the main production line, the pilot line usually only needs one or two machines, and the new fab will always have a trial production line before starting mass production, which is used to explore the way for the new process.

The P4 factory in Pyeongtaek is the main factory of Samsung. The factory currently has three wafer production plants, P1, P2, and P3, which can be called the most advanced wafer production area in the world. The newly built P4 mainly is responsible for manufacturing memory and logic chips. Considering the strategy of Samsung’s P3 factory, Samsung’s P4 memory production line is expected to be built first.

Foreign media believe that for some reason, Samsung is unlikely to significantly expand the production capacity of its Xi’an factory, and once the new production line is completed, Samsung is expected to start commercial production at the P4 and Taylor factories sometime in 2024.

The post Samsung ramps up fab investment and will build pilot lines in P4 and Texas plants during the year appeared first on TechGoing.

]]>
TSMC’s Arizona plant gets Tesla 4nm chip order https://www.techgoing.com/tsmcs-arizona-plant-gets-tesla-4nm-chip-order/ Fri, 23 Dec 2022 04:25:08 +0000 https://www.techgoing.com/?p=57053 TSMC’s new U.S. plant in Arizona has received orders for 4nm chips from Tesla and is expected to start mass production in 2024, according to industry sources cited by the Electronic Times. In addition, TSMC has also recently taken orders from Volkswagen, GM and Toyota. Last month there was news that Tesla had placed a […]

The post TSMC’s Arizona plant gets Tesla 4nm chip order appeared first on TechGoing.

]]>
TSMC’s new U.S. plant in Arizona has received orders for 4nm chips from Tesla and is expected to start mass production in 2024, according to industry sources cited by the Electronic Times. In addition, TSMC has also recently taken orders from Volkswagen, GM and Toyota.

Last month there was news that Tesla had placed a huge 4nm chip order with TSMC, which is planned to be used in the future for the autonomous driving system of Tesla’s cars.

TSMC’s Director of Automotive and Microcontroller Business Development Division, Chen-Ming Lin, said earlier that the automotive semiconductor market is expected to grow rapidly at a compound annual growth rate of 16% from 2021 to 2026, reaching $8.5 billion in 2026.

In addition, TSMC Chairman Huang Chongren also pointed out that in the past in the traditional car manufacturers, the cost of the chip required for a car is about 500 ~ 600 U.S. dollars, with the role of semiconductors in automotive electronics has changed significantly, the chip used in each car is expected to increase from the current 500 U.S. dollars to 2000 ~ 5000 U.S. dollars.

Semiconductor industry sources said, has been the automotive semiconductor market for Infineon (Infineon), NXP (NXP), Renesas (Renesas), DEI (TI) and STM (STM) of the world, taking into account the cost and capacity deployment, the proportion of outsourcing to the foundry about more than 20%.

With the chip drought to change the ecological model of the car chain, foundry customers from the field of automotive electronics, no longer just IDM factory, both IC design customers also increase the research and development of automotive chips, and design efforts, while the international car manufacturers have announced that they will invest in chip design, and find the foundry to cooperate.

Among them, a rough estimate of automotive chips about 8 Chengdu is the use of 28nm on the mature process, 2 percent (most of the ADAS-related) using 14nm below, and this part of only Samsung and TSMC will receive orders, and TSMC technology, yield rate to maintain the lead, therefore, the industry has been rumored that TSMC took a number of 7nm below automotive chip orders.

The semiconductor industry further pointed out that the current electric car battlefield to Tesla as the first, technology about 3 ~ 5 years ahead of competitors, previously cooperated with TSMC for many years, but in 2019 will be self-driving chip Hardware 3.0 to Samsung 14nm, 7nm foundry production.

However, as the AI computing power and security needs increase greatly, coupled with Samsung’s poor yield and performance, even if the foundry offer is cheaper, Tesla has to turn back to cooperate with TSMC, so the Hardware 4.0 to TSMC foundry, and will be in the new plant in the United States, using 4nm process.

In addition, TSMC also cooperated with VW and STMicroelectronics, and also obtained a long-term contract with General Motors for a wafer foundry.

The post TSMC’s Arizona plant gets Tesla 4nm chip order appeared first on TechGoing.

]]>