Home News Intel miracle staged: EUV technology surpasses TSMC and Samsung

Intel miracle staged: EUV technology surpasses TSMC and Samsung

0

Intel used to be the world’s most advanced chip process leader, however, encountered problems between the 14nm and 10nm nodes, resulting in TSMC, Samsung caught up and took the lead in mass production of the EUV process, but Intel is also working hard to overtake, the CEO developed a roadmap that means they only need 2 years to achieve the EUV process to catch up with TSMC, Samsung’s plan.

Intel’s current mass production process is Intel 7, from next year’s 14th generation Core into the Intel 4 process, which is Intel’s first EUV process, followed by the Intel 3 process is improved on the basis of Intel 4.

Intel will mass-produce the 20A process in the first half of 2024, and the 18A process originally scheduled for mass production in 2025 has been advanced to the second half of 2024. These two generations of processes will abandon the FinFET transistor process and enter the Emi-level process for the first time, using Intel’s two black technology technologies, namely RibbonFET and PowerVia, the former being the GAA transistor Intel version, the latter is Intel’s first and exclusive backside power supply technology.

According to Intel’s plan, this roadmap means that they will achieve three generations of EUV process mass production within 1-2 years in 2023-2024, and the technology level is sufficient to exceed TSMC’s return to the first.

You know, TSMC and Samsung began production of EUV process as early as 2018-2019, Huawei’s Kirin 990 is the first TSMC 7nm EUV process, by 2024-2025, the two mass production EUV process at least 5-6 years, Intel only 1-2 years to easily surpass.

Intel’s current 4-year mass production 5 generation CPU process roadmap is really Intel’s miracle 4 years if there are not any jumps.

Exit mobile version